Q4 2024 Synopsys Inc Earnings Call

Thomson Reuters StreetEvents
2024-12-05

Participants

Trey Campbell; Senior Vice President, Investor Relations; Synopsys Inc

Sassine Ghazi; President, Chief Executive Officer, Director; Synopsys Inc

Shelagh Glaser; Chief Financial Officer; Synopsys Inc

Joseph Vruwink; Analyst; Robert W. Baird & Co Inc

Jason Celino; Analyst; KeyBanc Capital Markets

Harlan Sur; Analyst; JPMorgan

Vivek Arya; Analyst; BofA Global Research

Gary Mobley; Analyst; Loop Capital Markets

Jay Vleeschhouwer; Analyst; Griffin Securities Inc

Ruben Roy; Analyst; Stifel Nicolaus & Co Inc

Charles Shi; Analyst; Needham & Company Inc

Joshua Tilton; Analyst; Wolfe Research LLC

Presentation

Operator

Ladies and gentlemen, welcome to the Synopsys earnings conference call for the fourth quarter and fiscal year 2024. (Operator Instructions) As a reminder, today's call is being recorded.
At this time, I would like to turn the conference over to Trey Campbell, Senior Vice President, Investor Relations. Please go ahead.

Trey Campbell

Good afternoon, everyone. With us today are Sassine Ghazi, President and CEO of Synopsys; and Shelagh Glaser, CFO.
Before we begin, I'd like to remind everyone that during the course of this conference call, Synopsys will discuss forecasts, targets, and other forward-looking statements regarding the company and its financial results.
While these statements represent our best current judgment about future results and performance as of today, our actual results are subject to many risks and uncertainties that could cause actual results to differ materially from what we expect.
In addition to any risks that we highlight during the call, important factors that may affect our future results are described in our most recent SEC reports and today's earnings press release.
In addition, we will refer to certain non-GAAP financial measures during the discussion. Reconciliations to their most directly comparable GAAP financial measures and supplemental financial information can be found in the earnings press release, financial Supplement, and 8-K that we released earlier today.
All of these items plus the most recent investor presentation are available on our website at www.synopsys.com. In addition, the prepared remarks will be posted on our website at the conclusion of the call.
With that, I'll turn the call over to Sassine.

Sassine Ghazi

Good afternoon. We delivered a strong finish to the year, exceeding the midpoint of our Q4 guidance targets, which caps another record revenue year for Synopsys. A big thank you to our customers, partners, and the entire Synopsys team.
In FY24, we grew revenue 15% year over year and crossed the $6 billion mark, only three years after crossing the $4 billion mark. This is a big achievement considering it took us 35 years to achieve $4 billion.
We've also expanded the bottom line with EPS growing at a 24% CAGR over the last five years. FY24 was also a transformational year for the company. We sharpened our focus on growth segments with the successful sale of our Software Integrity business, and we doubled down on our silicon to system strategy with the pending acquisition of ANSYS.
Let me take a few minutes to share some business highlights, and then Shelagh will discuss the financials in more detail. Technology is at a strategic inflection point that presents unprecedented opportunity for Synopsys from silicon to systems. We are operating in an era of pervasive intelligence, which fuels our momentum and is underpinned by multiple secular growth drivers, the mega trend of AI, silicon proliferation, and software-defined systems.
Unlike the broader semiconductor market, which can be cyclical in nature, Synopsys success is tied to technology innovation cycles. The AI-driven reinvention of compute is accelerating the pace, scale, and systemic complexity of technology R&D, which, in turn, expands our opportunity.
AI and HPC chip design starts continue at a relentless pace, while end demand in industries like industrial, auto, and consumer electronics are recovering more slowly. However, all industries are investing for their AI future, and Synopsys will be a strategic partner in helping them realize their ambitions.
Our planned acquisition of ANSYS will further our growth into new adjacent areas. Together, we can deliver on the engineering need for the new AI-powered design solutions that fuse electronics and physics, giving R&D teams unprecedented insights into products under development.
The regulatory review process is proceeding as expected. I'm pleased to announce that this week, the HSR waiting period has expired, and we are working cooperatively with the FTC staff to conclude the investigation and their review of our proposed remedies. This marks an important milestone towards close, which we continue to expect in the first half of 2025.
We're also making strong progress with other regulatory agencies and customers remain overwhelmingly supportive of this pro-competitive deal. Looking ahead to 2025, at the high level, we expect to deliver double-digit revenue growth and 40% operating margin.
We will remain focused on execution excellence and operating discipline, while balancing our guidance with pragmatism, given we are readying our company for the largest acquisition in our history and continue to manage macro uncertainties in some geographies. Shelagh will discuss the guidance in more detail.
Let's move to segment business highlights, starting with design automation. Q4 design automation revenue was up 17% year over year and full-year revenue was up 12% versus 2023. Our unparalleled design automation portfolio provides holistic insight across the flow and includes our industry-leading Fusion Compiler.
Fusion Compiler leadership was evident in Q4 as the leading US HPC customer leverage Fusion Compiler to deliver their first TSMC N2 production tape-out, and a leading hyperscaler taped out a novel new SoC on TSMC N4.
Since 2020, we've been the pioneers in adding AI optimization engines to our products. We now have Synopsys.ai solutions across the design flow that are delivering extraordinary customer results.
Customers have now used DSO.ai to optimize over 700 cumulative tape-outs and have deployed DSO.ai in up to 90% of SoC blocks on some chips. DSO.ai deployments are also accelerating, with one customer seeing up to 4x improvement in hardware utilization and another achieving 2x faster turnaround time.
We're also augmenting the capability of Synopsys.ai by bringing the power of generative AI to our platform. We've now expanded coverage of copilot knowledge assistance to 11 EDA products with our customers seeing 30% to 60% faster time to results versus traditional approaches on our flagship products with both hosted commercial LLMs and on-prem deployments with open source LLMs. We and our customers are rescaling and redefining workflow using AI. But this is only the beginning.
AI is evolving from a discrete capability to becoming an essential and ubiquitous part of everything we do. We are also on the cusp of a massive architectural revolution in semiconductors. Multi-die. Acceleration in multi-die designs opens a new frontier in chip architecture, but also ushers the daunting complexities that require the integration of design and multi-physics to solve.
Today, multi-die is the domain of a small group of customers, but third party's estimate that by 2027, 90% of HPC AI designs and 70% of PC designs will be multi-die. Multi-die adoption is also increasing the use of advanced manufacturing nodes, a positive trend for Synopsys.
We're partnering with ecosystem leaders like TSMC to improve predictability and yield for multi-die designs. In Q4, TSMC completed a multi-die test chip tapeout demonstrating the industries only end-to-end unified solution comprising of 3D IC compiler, silicon life cycle management, Synopsys test Solutions, UCIE, and synopsys.ai.
In this case, AI-driven analysis using 3DSO.ai was deployed to address thermal and power integrity challenges for CoWoS Interposer Packaging the real game changer for multi-die will be a design environment that fuses design automation and multiphysics simulation in a unified platform.
That's a key part of the value proposition for ANSYS and why our customers are so supportive of that transaction. Closing out on design automation, we had another record year in our hardware business with exceptional momentum on both HAPS and ZeBu product lines.
During Q4, we had over 50 repeat HAPS customers and more than 10 new customers. Notable among those wins, a US hyperscaler deployed a large HAPS implementation for PCIe 6 software bring-up for AI workloads. ZeBu EP momentum also continued with customers seeing value in the reconfigurability of our system to enable all emulation and prototyping use cases.
In the quarter, we saw seven repeat customers and eight new customers for ZeBu EP with a key IP customer deploying ZeBu because of the unique reconfigurability of that platform. On to design IP, which was roughly flat in Q4 against a very strong prior year compare.
For the full year, Design IP delivered 24% revenue growth with 5 points of adjusted operating margin accretion. Design activity continued to be robust for high-performance computing customers with seven PCIe 6 design wins, spanning hyperscalers and semiconductor accounts.
Protecting data over high-speed interfaces continues to be a critical need. And in Q4, we saw another 7 wins for security integrity and data encryption over PCIe 6 and CXL 3. We also continue to push technology differentiation in our Ethernet products.
In Q4, we achieved the industry's first multi-vendor 800-gig Ethernet demonstration at ECOC 2024 proving the robustness and interoperability of our IP. With the rapid acceleration of multi-die designs, there is significant demand for increased throughput.
And this quarter, we announced the industry's only complete 40-gig UCIe solution that includes controller, PHY and our verification IP. This IP offers 25% higher bandwidth than the UCIe spec with no impact on energy efficiency or area. We secured two 40-gig UCIe design wins in the quarter and ended the year with more than 20 die-to-die design wins.
We also saw strengths in automotive in the fourth quarter as electrification, infotainment and ADAS features continue to drive strong demand for our comprehensive automotive IP portfolio with wins at multiple leading automotive SoC vendors. In an industry first, we achieved the third-party certification of 40 gigabit per second USB4 IP, an IP title, which is vital for automotive and mobile markets.
Switching to manufacturing, where we take great pride in our role as the IP technology on ramp to the world's foundries. We were proud to receive TSMC's 2024 Partner of the Year Award for Interface IP acknowledging our collaborative success for Synopsys interface IP on TSMC's most advanced processes.
Before closing out, I want to highlight the power of our technology portfolio with a key company-wide design win. This quarter, we strengthened our decade-long partnership with ARM, aligning our road maps to the needs of our mutual customers. As a key partner in ARM total design, we are integrating ARM compute subsystems with our industry-leading IP, AI-driven EDA solutions and industry-leading hardware accelerated verification solutions.
Together, ARM and Synopsys delivered differentiated solutions delivering the next generation of chiplets, SoCs and systems across AI HPC, automotive, mobile, PC and IoT. A few closing comments before we transition to Shelagh's remarks.
We have strong momentum across the business supported by multiple secular growth drivers. We have a very resilient business model and are mission-critical to our customers' innovation. We are aligning our portfolio investment with the greatest return potential and aligning our operations to accelerate our growth.
Thank you to our employees and partners for a transformative 2024. We look forward to igniting our customers ingenuity in 2025 and beyond.
With that, I'll turn it over to Shelagh.

Shelagh Glaser

Thank you, Sassine. 2024 was an excellent year highlighted by record revenue, record non-GAAP operating margin and record earnings. We continue our strong execution with financial discipline and are confident in our business heading into 2025, driven by our strong momentum across the business, leading technology that is mission-critical to our customers and a resilient and stable business model noncancelable backlog of $8.1 billion.
As we look into 2025, I want to highlight a few changes compared to 2024. In 2024, we had the benefit of an extra week or approximately $70.5 million in revenue. Also, as mentioned in the 8-K filed last week, we are changing our fiscal year from a 52-, 53-week period ending on the Saturday nearest to October 31 to end on October 31 of each year. While design activity remains robust, we are taking a balanced view in 2025, given the impact of fiscal periods, macro uncertainty and a pragmatic view of China.
For 2025, we expect to grow revenue 10.1% to 11.1% or 11.5% to 12.5%, excluding the impact of the extra week and calendar year change, expand non-GAAP operating margin by approximately 150 basis points and drive non-GAAP EPS growth of approximately 13%. Let me provide some highlights of our full-year 2024 results, which are presented on a continuing operations basis.
We generated total revenue of $6.13 billion, up 15% year over year, with double-digit growth across all products and geographies. Total GAAP costs and expenses were $4.77 billion and total non-GAAP costs and expenses were $3.77 billion, resulting in non-GAAP operating margin of 38.5%. GAAP earnings per share were $9.25 and non-GAAP earnings per share were $13.20, up 25% year over year.
Now on to our segments. Design automation segment revenue was $4.22 billion, up 12%, driven by strength in EDA software and hardware. Design automation adjusted operating margin was 38.7%. Design IP segment revenue was $1.91 billion, up 24%, driven by broad-based strength. Design IP adjusted operating margin was 38.3%.
Turning to cash. Operating cash flow for the year was $1.4 billion and free cash flow was $1.28 billion. We ended the year with cash and short-term investments of $4.05 billion and total debt of $15.6 million. Now to our targets, we expect the first half, second half split of roughly 45%, 55% for revenue, which is more second half weighted versus 2024's 48-52 mix based on two drivers: the first driver is 10 fewer days in the first half of 2025 versus 2024 due to the extra week in the fiscal calendar change, an impact of approximately $100 million.
And the second driver is our current assessment of the timing of IP and hardware deliveries. Similarly, we expect first half, second half split of non-GAAP EPS to be 40%, 60% based on our current assumption for expenses. In 2025, the full-year targets are: revenue of $6.745 billion to $6.805 billion, total GAAP costs and expenses between $4.93 billion and $4.98 billion; total non-GAAP costs and expenses between $4.05 billion and $4.09 billion, resulting in non-GAAP operating margin of 40% at the midpoint.
Non-GAAP tax rate of 16%, 1 point higher than the rate in 2024, GAAP earnings of $10.42 to $10.63 per share; non-GAAP earnings of $14.88 to $14.96 per share; cash flow from operations of approximately $1.8 billion; and free cash flow of approximately $1.6 billion. Our guidance for cash flow includes the impact of approximately $100 million for deal-related costs and approximately $130 million of cash taxes from the sale of our Software Integrity business.
Now to targets for the first quarter. Revenue between $1.435 billion and $1.465 billion; total GAAP costs and expenses between $1.14 billion and $1.16 billion, total non-GAAP costs and expenses between $945 million and $955 million; GAAP earnings of $1.81 to $1.95 per share, and non-GAAP earnings of $2.77 to $2.82 per share. Our press release and financial supplement include additional targets and GAAP to non-GAAP reconciliations.
Building on a record 2024, we are well positioned entering 2025, driven by our relentless execution and leadership position across our segments, mission-critical products to enable our customers' innovation, and a stable and resilient business model.
With that, I'll turn it over to the operator for questions.

Question and Answer Session

Operator

(Operator Instructions) Joe Vruwink, Baird.

Joseph Vruwink

Thanks for taking my questions. I wanted to start just the underlying growth of 11.5% to 12.5%. I think that's maybe a point below the starting ranges you've been using over recent history. Is it may be possible to reconcile just the change that went into your planning assumptions for FY25. And I know you mentioned that the ANSYS deal may potentially close. How much is maybe your updated budgeting approach related to specifically the transaction and just wanting to be prudent around any disruption that creates?

Sassine Ghazi

Hi, Joe. Thank you for the question. Really, the way we looked at it is a balanced view for FY25 taking into account few factors. The first one is the market we're in and serving. I'd like to think of it as we are in a tale of two markets. The one that our customers are serving the AI infrastructure build-out.
They're doing incredibly well, and we're benefiting beautifully out of it. These are the companies that they're delivering memory chips, advanced logic, be it CPU, accelerator, GPU, et cetera. And that's helping across the board the Synopsys portfolio, the EDA, hardware, IP, et cetera. But let's not forget, there's another cohort, which is the rest of the semiconductor market, the one that they're serving mobile PC, automotive, industrial.
They are still, in many ways, trying to have a refresh cycle for their products and leverage AI on devices. For us, for that cohort, given we're tied to their R&D, we're still doing fine, but it's not delivering to similar level of growth as the first cohort. So that's from a market point of view.
The second factor we took into account is the macro uncertainties. And in here, if you double-click on China, in particular, the economy continue on decelerate. You layer on top of it the expansion of restrictions that we needed to take into account.
Lastly, we're absolutely taking into account and so excited about closing ANSYS in the first half of '25, that we need to prepare our company for this massive acquisition not only the largest for Synopsys, but the largest for engineering software industry.
So you take all these factors into account, we decided to guide FY25 with pragmatism and they're still guiding, as you mentioned, 11.5% to 12.5% growth on the tail of a 15% growth that we just delivered last year.

Joseph Vruwink

Okay. That's great detail. Second question just on the outlook period next year. Based on the $8.1 billion in backlog, another really strong quarter of bookings, it would seem like very high visibility on what the next 12 months may bring. I guess any changes in your views given all the current events that have been swirling around.
You touched on some of them. But in terms of like various foundry investments, you mentioned briefly geopolitics. It doesn't seem to have come up just based on your 4Q bookings performance. But what might you anticipate in quarters ahead and how backlog may progress?

Sassine Ghazi

Yes. Thank you, Joe, for pointing out the backlog because that's another factor of the health of the business because it's a flat year over year when we entered FY23 -- '24, sorry, it was roughly at $8.1 billion. So that tells you that our ability to deliver 15% without, I want to call it, burning from the backlog is another sign of the health of the business we executed on in FY24.
Now to your comments regarding foundries, et cetera, I don't want to speculate regarding the various build-out that we're doing for the various foundries. As you know, the majority of our IP business comes from TSMC customers designing on TSMC.
But of course, given we are the on-ramp of every foundry, including Intel, Samsung, GF, Rapidus, et cetera, the uncertainty around some of them, how fast do they go and bring in customers in '25 and beyond, it's a speculation at this stage. But we continue on investing and building out our IP across the board for these foundries.

Joseph Vruwink

Thank you.

Operator

Jason Celino, KeyBanc Capital Markets.

Jason Celino

Hey. Thanks for taking my question.
Maybe just a clarification on the Q1 guide. So I understand the year-over-year dynamics with like the one less week and then maybe an extra one last or two less couple of days based on the fiscal year change. But still, it's coming in sequentially down from Q4. It sounds like you had a pretty strong hardware quarter for Q4, but maybe can you just explain the seasonality that you're seeing for that first quarter?

Shelagh Glaser

Sure. So Jason, you got it right the fiscal year change, and the impact in Q1 is eight days. So that's about $80 million. So with our ratable business, obviously, we have eight fewer days to account in Q1 of '24. Then the other thing, I would say is, actually, just looking back to Q1 of '24, it's a really tough compare because IP was actually up 53%.
If you think about, we always talk about that business as lumpy. And the lumpy, of course, means you have quarters where customers pulled down a lot of IP and then quarters where we're building IP, but we have lower pull down. And Q1 is going to be a quarter where we're building IP, but we have lower pull down. So Q1 is going to be a low quarter for us, and then we're building and we have a much stronger second half for IP. So it's really those two effects happening.

Jason Celino

Okay. Great. Thank you. And then maybe just a quick follow-up for Shelagh. The divestiture of that optical solutions group, was there any divested revenue impact there? Just trying to think about the guide here and then what could have been taken out. Thanks.

Shelagh Glaser

So that doesn't have any effect on this. As we announced that is -- that will be part of our continuing business until we close the ANSYS transaction. So that's still included in our forecast.

Jason Celino

Thank you.

Shelagh Glaser

Okay. Thank you, Jason.

Operator

Harlan Sur, JPMorgan.

Harlan Sur

Good afternoon and thanks for taking my question. Two days ago, the Department of Commerce, BIS, Bureau put out an update on U.S. semiconductor and value chain rules and restrictions. There were some updates on the rules on EDA software. Is there any impact of these new rules to your fiscal '25 outlook?

Sassine Ghazi

Harlan, the quick answer is yes. There are -- some customers got added to the entity list in that latest ruling and some technology restrictions as well.

Shelagh Glaser

But I would say, Harlan, that's all incorporated in our guidance. So all of those most recent restrictions are fully incorporated into the guide.

Harlan Sur

Correct -- yes. No, I appreciate that. And then for my follow-up, it's good to see the strong, double-digit growth in your IP businesses and your design automation businesses in fiscal '24. Sassine, as you mentioned, design activity as measured by chip design starts, IoT adoption appears to all be increasing quite a bit.
We continue to see new entrants into the chip design sector, open AI being one of the more recent, like, so within your full-year guide, like how would you qualitatively describe the growth profile of your design automation and IT businesses relative to the full-year growth outlook?

Sassine Ghazi

Yes. So Harlan, the the excitement that we have across our customer base that are serving that AI infrastructure is actually the road map they're delivering, the investments they're making is at the pace that continues to -- on accelerating. That's part of our confidence when we look at what help us achieve over the last five years, a 15% revenue growth CAGR.
And as we look at FY25 and where we're guiding that growth, a lot of it is coming from that increased investment, and those customers are needing the most advanced IP to do those multi-die designs because almost every one of them is designing either at a 2.5 or with a road map to 3D. The increased needs that they have for hardware-assisted verification to deal with that complexity.
And anything we offer today with our design automation, EDA software, be it with AI, with Fusion compiler, et cetera, they are the customers that they are first to adopt in order to tame that complexity they're dealing with. So it's across the board, Harlan, we're seeing the adoption with that cohort of customers.

Harlan Sur

Perfect. Thank you.

Sassine Ghazi

Thank you.

Operator

Vivek Arya, Bank of America.

Vivek Arya

Thanks for taking my question. Sassine, you mentioned you've seen very strong overall design starts, especially in AI. I'm curious, what was the growth in design starts that you saw last year? And what is your assumption? I imagine this is one of the more important metrics that you look at in forecasting the business?

Sassine Ghazi

Yes. So if I look at not only the last year, if we look at the last three to five years, and let's zoom in with hyperscalers and semiconductors serving hyperscalers. With the hyperscalers, initially, there was a lot of question mark around how much can they continue on investing organically with building chips to differentiate and optimize their workload with their own silicon.
And I'm sure, as you listen to almost every one of these hyperscalers, so they're so proud that they are increasing their silicon investments. And as they're making these investments, we are in the middle of each one of these increased and broadening of these investments by hyperscalers.
Now you look at companies, semiconductor companies that they're trying to serve that market, be it if you're doing ASIC custom silicon delivery or delivering directly AI accelerators to that group of customers, again, that growth in road map from our customers is increasing year over year from, as I mentioned, in the last three to five years and contributed greatly to our revenue growth that we have witnessed. I don't see it slowing down going into FY25 with that cohort of customers.

Vivek Arya

And I appreciate that you don't want to be specific to a customer. But when we look at your largest customer, how big were they in fiscal '24? And they are going through some level of restructuring and turmoil. How are you trying to deal with that?
And how much are you assuming that becomes a headwind or Synopsys next year. I assume you have contemplated that in your outlook, but how much of a headwind is that restructuring activity at your largest customer?

Sassine Ghazi

The profile in which our large customers, they buy from Synopsys is very similar, meaning they buy across the board. They have a multiyear committed EDA contracts. And that's, as you know, is ratable and it's over three years-plus depending on the customer agreements that we have. Then with each one of those customers, there are two other layer of contracts and commitments. One related to IP and the other one, hardware.
And I'm generalizing, but that applies to the top customers that we have when it comes to IP and hardware, the pull down and the timing depends on their road map. So if they're pausing, shifting to the right some of their projects, our -- their IP pull down, their hardware consumption, from a revenue point of view, impact for Synopsys does shift to the right. So you will see lumpiness on a customer-by-customer basis.
And when you look at our FY25 guide and the 45%, 55% shape of the year is taking into account some of these dynamics across the board and the timing of that pull down.

Vivek Arya

Thank you.

Sassine Ghazi

You're welcome.

Operator

Gary Mobley, Loop Capital.

Gary Mobley

Hey, guys. Thanks for taking my question. Based on the fiscal year '25 operating margin guidance, 40%, it looks like you're bringing a lot more down to the operating income line than you did last year for each incremental revenue dollar despite the slowdown in projected revenue.
And so Shelagh, my question is, is that largely a function of a slower pace of M&A activity or annualizing some of the deals that were done last year in anticipation of the ANSYS acquisition. Is that the proper read through?

Shelagh Glaser

Well, I think it's a multiyear focus on driving leverage in the company. So you saw us improve by 2 points last year. We're getting 150 basis points improvement. And it's really across the board, thinking about how we do things more efficiently inside our four walls, just like we're servicing our customers to allow them to do their R&D development more efficiently. So we see it across R&D.
We've invested heavily in making sure that we are getting benefit of things like AI, we're getting benefit on modernizing how we're building our software and then also things that we're driving in terms of digital transformation.
So modernizing how we run core of the business. This is a multiyear journey that we've been on in terms of driving leverage across -- and so I think it's a strong commit that we have. And then as you mentioned, as we then bring ANSYS in, that will be some of the work that we'll jointly then do as we work towards synergy.

Gary Mobley

Got it. Okay. Just a quick clarification. Sassine, you mentioned fiscal year ending backlog for -- sorry, fiscal year '23 ending backlog of $8.1 billion. Is that adjusted for software integrity? Or was it lower excluding the software integrity.

Shelagh Glaser

Yes, that's adjusted.

Gary Mobley

Yes. Great. Thank you.

Shelagh Glaser

You're welcome.

Sassine Ghazi

Thanks, Gary.

Operator

Jay Vleeschhouwer, Griffin Securities.

Jay Vleeschhouwer

Thank you. Good evening. So Sassine, you mentioned that you were aligning operations to prepare for growth for '25 and beyond. Could you be more specific about some of the steps that you've taken? And how much of that are things you would have done anyway irrespective of ANSYS.
You just grew our headcount for the year by 10%. You also have the largest number of R&D openings you've had in two years. So maybe just talk about some of your -- those internal initiatives that you're putting in place to prepare for more growth and AI follow-up.

Sassine Ghazi

Yes. Thank you, Jay, for the question. When the company costs $6 billion, which we just did in FY24, huge milestone, the way we drive our product development and driving solutions to our customers need to scale and evolve as well. We've been talking about scaling, scaling for a while as it comes to our IP business as it comes to EDA and how to deliver solutions to our customers.
And that's part of the effort we have put in place around structure of the company in order to scale and continuing our pace to deliver market-leading solutions and innovation, et cetera. So we put some effort around structure, and the second part that we have been investing for about two years is how do we evolve our engineering workflow inside the company.
There are some amazing technologies out there that we're seeing some early benefits of efficiency, productivity for our R&D, and we continue on putting that emphasis and focus on how do we leverage technology and modernize the way we build both IP as well as the EDA software.

Jay Vleeschhouwer

Thank you. Okay. As a follow-up on the third quarter call, you made some interesting comments about customer-specific AI use cases that with EDA models and the like that you might see more and more specificity on a customer-by-customer basis for AI. on the other hand, you and Cadence and the other EDA vendors have for the last number of years, also talked about more and more domain-specific design.
So maybe you could talk about how those two play together, the long trend towards domain specificity and now apparently there is newer phenomenon of customer specificity, how do those come together or might it have any effect in terms of your product packaging, your development, the services you need to provide to customers and so forth.

Sassine Ghazi

Sure. Yes. With AI, it's not only unique to Synopsys, is I to say, for every software company that is delivering AI as part of their product is rapidly learning of how to engage customers in adopting that technology and the monetization of that technology.
As you know, the first step that Synopsys took was looking at our complete portfolio and asking ourselves where can we insert AI inside the products and deliver a new product to the customers where they can leverage AI to achieve better time to resolve, better quality of resolves, et cetera.
And I believe we've done a great job there. This is where the excess AI family comes in. And the adoption by customers, the acceptance by customers is going very well.
The AI use cases you mentioned, once you start moving to knowledge assistant type of AI application, the customers, they have their own workflow. They have their own data. They are not expecting that you come in and say, use the following models for AI or something that pretrained, they want to train based on their data and therefore, what is our flexibility in delivering a customer-specific AI capability that sits inside their workflow.
So that's not the xso.ai, xso.ai is going to come in with all the products. So that's the next level of a workflow optimization that our customers are investing in and of course, investing with and around our technology.
The third one, which we're starting to talk about hit in some of our conferences, et cetera, Where when you look at the genetic AI, which is absolutely going to drive a completely different approach to what is it that we sell to our customers in that context.
And that's going to drive, in our view, an opportunity to what is it we deliver and the business model we deliver to in that disruptive wave of AI. But think back about two years ago, I don't think we were talking in that time window about agentic AI and EDA or any software company for that matter.
So that's the rapid evolution from optimization.ai or xso.ai, the AI-specific use cases with knowledge assistant based on customer use cases and the workflow, and the third one is agentic generative AI?

Jay Vleeschhouwer

Thank you, Sassine.

Sassine Ghazi

Thank you, Jay.

Operator

Ruben Roy, Stifel.

Ruben Roy

Sassine, I had a couple of longer-term questions. I wanted to talk a little bit about the tail of the two markets in the half, I guess, versus the half not. One of the recurring themes that's been coming up more in recent times is the concept of acceleration of AI silicon designs and was wondering how you're thinking about that over the next couple of years in the context of one of the leading providers of IP for ASIC talked about leveraging cloud-based EDA tools just this week.
And I'm wondering, I know you've been working on cloud-based tools for quite some time now. But is that something that changes your business model in any direction or in flexion and some way, I just's would love to hear your thoughts on that.

Sassine Ghazi

Yes. Two things. One, when it comes to accelerated compute to deliver a more effective, efficient EDA, that's something we've been talking about and delivering to not only talking about for a while. If you remember, at GTC, one of Janssen's slides was the various areas of Synopsys delivering accelerated EDA for, in that case, GPU from NVIDIA. And it applies to many other accelerated compute that our customers may prefer or have their own flavor.
There, it's a two-step. You port your software, so you can use it their preferred compute. And then you go into the next phase, which is optimization, and that's where you can deliver factors of performance. We're not talking percentages. It can be 3x, 5x, 10x performance benefit.
Now some of our customers, they want that capability to be available on cloud, not everything available on them to be able to burst for that capability. that customer you're referring to does use our EDA for cloud. So the way we sell to that customer, they have a contractual flexibility to use our software on prem. And when they decide to burst on their preferred CSP, they have that flexibility to burst, which gives us a good burn rate on our software because if they are bursting, they use more software, and we monetize it.

Ruben Roy

Very good. That's very helpful. Thanks, Sassine. And then a quick follow-up on some of the comments you made on IP. The design activity remaining robust and you're specific around some of the interfaces that you're working or interface technologies, I should say, that you're working on and with. I read an interview recently where you talked about potentially looking at royalty models for your IP deliverables?
Is that something that you think can play out sooner rather than later? And would it be specific to some of these areas like PCI Express 6 or CXL and whatnot?

Sassine Ghazi

Yes, we always look at inflection point in order to really change the way we monetize. On the traditional interface IP, I don't see a change where we show up at the customer and say, right now we're going to change the business model and introduce royalty. It's been since its existence, the use base monetization, and that will continue.
We have other parts of our IP that does have loyalty, and that will continue. But the next inflection point that can introduce a new business model is the moment you start thinking about the delivery beyond the interface IP, meaning as you start going to a subsystem or a chiplet and beyond the standard delivery.
Our customers are very receptive to it, but I want to bring it back to scaling. For us today, we have a significant investment in our interface IP, as I just described. And as we look toward the future trends, that's something we're absolutely looking at investing in and testing with our customers the opportunities and the business model.

Ruben Roy

Got it. Thank you, Sassine.

Sassine Ghazi

Thank you, Ruben.

Operator

Charles Shi, Needham & Company.

Charles Shi

Hello, Sassine and Shelagh, thanks for letting me ask a couple of questions. Maybe the first one, I want to go back to the China question. The thing you said the export control does have an impact, but I wonder if the impact -- how do you characterize the amount of impact? Is it the material? Or is it -- maybe there is some impact, but it's not quite material for the FY25 outlook.
But maybe one more related question. So I think you said you want to take a more cautious stance on China. But in fiscal '22 looks like China still grew in line with the corporate despite at the beginning of fiscal '24, you said something very similar. Wondering what's the current expectation as well for next year.
Is China going to grow below, the corporate average? Or is it in line? Or -- and dollar-wise, do you think it's still going to be higher year to year in fiscal '25. Thank you.

Sassine Ghazi

Thank you, Charles. One, our revenue and growth in China has absolutely decelerated if you look at the last three, four, five years. And the reason has decelerated is due to the pool of customers we can serve and sell to continue on shrinking due to the restrictions. So that's a factor.
So there is no way to ignore that the pool of customers and opportunities is shrinking due to restrictions. There's another factor, which is the overall economy in China continues on decelerating as well.
So the days of dozens of start-ups popping up every quarter in China. That changed. That's not there anymore. So last year, we wrapped up China around the corporate average. I don't want to say it will be in '25 because honestly, it's something that one, we don't provide ahead of ourselves.
But two, we are taking into account those factors as we are guiding the year. And that's why we're saying we continue on being pragmatic on our approach when it comes to China.

Charles Shi

Got it. Maybe a question, maybe this is for Shelagh. In terms of that 45 to 55 split, because I was under the impression maybe it was more like 47-53. It looks like first half was slightly incrementally a little bit weaker, but the second half is a little bit stronger. Synopsys haven't had this much second half loaded.
You've had the second half loaded year, but this loaded. I don't think it has been like this at least in recent years, but you tend to lead to a little bit more scrappiness among investors because you appear in '24, they have the profile exactly like this.
There was a lot of skepticism about whether they can deliver the second half. So what do you say to those investors, how do we put a little more trust into that second half, that artistic uptick? I think you guys are pretty dependable, but I do want to hear from you guys, what would you say to folks who may be a little bit of skeptical.

Shelagh Glaser

Charles, thanks for the questions. So I'd say there's really two effects that are driving this. The 1 is that mechanical effect that we talked about, which really there's 10 less days in the first half of 2025 versus the first half of 2024.
And so that's right off the bat for the ratable business, $100 million headwind that we have with the 10 less days. And then as Sassine talked about, we're seeing for our upfront businesses more second half weighted, and it's really based on when the customer pull-downs are.
And you can think about our two upfront businesses to IP. We talk about IP being lumpy. I think now that we've got our segment reporting, you can really see what we mean by lumpy, which is we can have double-digit growth in a quarter and then the next quarter can actually be a decline.
And we can manage that very well. Where if you will, I always think about IP, we're building titles every day. but the customers are going to ingest those titles when they're ready to put it into their design. And so we need to be ready. -- and in this case, as we think about 2025, a lot of the customer pull downs are more second half weighted.
And then similarly, hardware is an upfront business for us, and that's more second half weighted. And we haven't talked much about this, but -- we've had a record hardware year again in '24. We anticipate another record hardware year in '25.
But one of the things is we work with our customers is their ability to get space and power for hardware, it's the same problem you're finding that people are talking about with their data center. So we need to be able to plan with our customers that not only is the hardware available for them, but they've got space and power to be able to power that. And so that's leading to some second half bleeding.
What we're doing for customers in the meantime is we are building out a ZeBu, so that they can actually tap into our ZeBu servers so that they can actually be able to run some of their designs. But of course, that only has limited capability. It won't give them the scale of design.
It won't give them a very real-time feedback that they're going to look for, for an on-prem install -- but I would say those are the two effects, really that one very mechanical puzzle piece, which is just that $100 million. And then the other one is really timing of hardware and IP.
And I appreciate the question, Charles.

Sassine Ghazi

And Charles, I would like to add, I cannot help myself, one point to Shelagh's comments. Your you're saying that we are dependable and there might be some skepticism from some. We are a company that have proved that we commit and deliver to -- and we do what we say. If you look at the successes we've had in our IP and hardware that have shifted the profile of upfront versus ratable. If you look at FY23, 26% of our revenue was upfront; FY24, 29% was upfront; FY25, that will continue on increasing.
So we need to get used to the fact that there's going to be some lumpiness quarter over quarter, but that's due to the successes we're having across the portfolio.

Charles Shi

Thanks so much for the additional colors, Shelagh, Sassine. I really appreciate that.

Sassine Ghazi

Thank you, Charlie.

Shelagh Glaser

Thank you, Charles.

Trey Campbell

Operator, let's do one more question.

Operator

Joshua Tilton, Wolfe Research.

Joshua Tilton

Hey, guys. Can you hear me?

Sassine Ghazi

Yes, Josh.

Joshua Tilton

Thank you for sneaking me in at the end. I really appreciate it. My first question, I'm going to preface with, I apologize for beating a dead horse. But it feels just the message is that the guidance is a little bit more conservative than usual, especially around your assumptions for China.
Can you maybe just take that one step further and just tell us how it's more conservative because for China? Is it lower revenue contribution, lower growth? Just walk us through how you embedded some conservatism around the China business for next year?

Sassine Ghazi

We really -- Josh, we really are looking at it from a balanced way. That is the China deceleration that I mentioned earlier, this is real, and we're seeing it in terms of the engagements we have locally, then there is the other factor which is difficult to predict is the constant expansion of restrictions on China.
So there is the China factor. And we do anticipate China to not be above the corporate growth but in line with the corporate average performance. But there are other factors besides China that I mentioned earlier that we are taking into account as we're looking at FY25.

Joshua Tilton

Okay. Very helpful. And then maybe just a follow-up for Shelagh really quick. I thought it was interesting, unless I misheard it, but at the end of your prepared remarks, you talked about some of the confidence you have heading into next year is around the mission-critical nature of the software. And I guess my question is, how next year are you translating how mission-critical your software is into better pricing for Synopsys and its customers?

Shelagh Glaser

Well, I mean, as Sassine talked about, we've got long-term contracts in EDA. And when we go to renewals with customers, we always sit down with all the improvements that we've made and align with them on what the benefits are to them.
And so that's, I would call it, a regular renewal conversation that we have with customers. We haven't talked too much about AI, but obviously, a big part of that engagement is on the AI and the benefits we're having on AI. And then obviously, with IP, that's an ongoing conversation as people pull titles.
And I would say, hardware we've talked about, too, the overall commitment that you see us driving is the improved operating margin. So that's where you really see that coming through. And we've been driving operating margin improvement year after year after year, and you see us doing again 150 basis points in 2025.

Joshua Tilton

Thank you, guys. Appreciate it.

Shelagh Glaser

Thanks, Josh.

Sassine Ghazi

Thank you, Josh.

Trey Campbell

Thanks, everyone. Operator, you can close.

Operator

Thank you, sir. Ladies and gentlemen, that does conclude our conference for today. We would like to thank you all for your participation. You may now disconnect.

免责声明:投资有风险,本文并非投资建议,以上内容不应被视为任何金融产品的购买或出售要约、建议或邀请,作者或其他用户的任何相关讨论、评论或帖子也不应被视为此类内容。本文仅供一般参考,不考虑您的个人投资目标、财务状况或需求。TTM对信息的准确性和完整性不承担任何责任或保证,投资者应自行研究并在投资前寻求专业建议。

热议股票

  1. 1
     
     
     
     
  2. 2
     
     
     
     
  3. 3
     
     
     
     
  4. 4
     
     
     
     
  5. 5
     
     
     
     
  6. 6
     
     
     
     
  7. 7
     
     
     
     
  8. 8
     
     
     
     
  9. 9
     
     
     
     
  10. 10